AN2836 Freescale Semiconductor / Motorola, AN2836 Datasheet - Page 35

no-image

AN2836

Manufacturer Part Number
AN2836
Description
Web Server Development with MC9S12NE64 and OpenTCP
Manufacturer
Freescale Semiconductor / Motorola
Datasheet
#if USE_SWLED
#endif
}
Freescale Semiconductor
arp_manage();
udp_demo_run();
https_run ();
UseSWLedRun();
/* main loop */
DEBUGOUT(">>>>>>>>>Entering to MAIN LOOP>>>>>>>>>\n\r");
for (;;)
{
}
if (gotlink) {
tcp_poll();
}
else {
}
/* take care of watchdog stuff */
/* Try to receive Ethernet Frame*/
if( NETWORK_CHECK_IF_RECEIVED() == TRUE ) {
}
/* Application main loops */
/* TCP/IP stack Periodic tasks here... */
PTG_PTG0 = 1;//turn off LED1
PTG_PTG1 = 1;//turn off LED2
process_udp_in (&received_ip_packet,len);
break;
Web Server Development with MC9S12NE64 and OpenTCP, Rev. 0
switch( received_frame.protocol)
/* discard received frame */
NETWORK_RECEIVE_END();
{
}
case PROTOCOL_ARP:
break;
case PROTOCOL_IP:
break;
default:
break;
Overview of a Web Server Developed Using OpenTCP TCP/IP Stack
len = process_ip_in(&received_frame);
if(len < 0)
break;
switch (received_ip_packet.protocol)
case IP_ICMP:
process_icmp_in (&received_ip_packet, len);
case IP_UDP:
case IP_TCP:
process_tcp_in (&received_ip_packet, len);
break;
default:
break;
}
process_arp (&received_frame);
{
break;
35

Related parts for AN2836