LFXP3E-5TN100C Lattice, LFXP3E-5TN100C Datasheet - Page 155

no-image

LFXP3E-5TN100C

Manufacturer Part Number
LFXP3E-5TN100C
Description
IC FPGA 3.1KLUTS 62I/O 100-TQFP
Manufacturer
Lattice
Datasheet

Specifications of LFXP3E-5TN100C

Lead Free Status / Rohs Status
Lead free / RoHS Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
LFXP3E-5TN100C
Manufacturer:
Lattice Semiconductor Corporation
Quantity:
10 000
Lattice Semiconductor
Appendix A. HDL Attributes for Synplify
Using these HDL attributes, you can assign sysIO attributes directly in your source. You will need to use the attri-
bute definition and syntax for the synthesis vendor you are planning to use. Below are a list of all the sysIO attri-
butes syntax and examples for Precision RTL Synthesis and Synplify. This section only lists the sysIO buffer
attributes for these devices. You can refer to the Precision RTL Synthesis and Synplify user manuals for a complete
list of synthesis attributes. These manuals are available through ispLEVER Software Help.
VHDL Synplify/Precision RTL Synthesis
This section lists syntax and examples for all the sysIO attributes in VHDL when using Precision RTL Synthesis or
Synplicity synthesis tools.
Syntax
Table 8-8. VHDL Attribute Syntax for Synplify and Precision RTL Synthesis
Examples
IO_TYPE
--***Attribute Declaration***
ATTRIBUTE IO_TYPE: string;
--***IO_TYPE assignment for I/O Pin***
ATTRIBUTE IO_TYPE OF portA:
ATTRIBUTE IO_TYPE OF portB:
ATTRIBUTE IO_TYPE OF portC:
IO_TYPE
OPENDRAIN
DRIVE
PULLMODE
PCICLAMP
SLEWRATE
FIXEDDELAY
DIN
DOUT
LOC
Attribute
attribute IO_TYPE: string;
attribute IO_TYPE of Pinname: signal is “IO_TYPE Value”;
attribute OPENDRAIN: string;
attribute OPENDRAIN of Pinname: signal is “OpenDrain Value”;
attribute DRIVE: string;
attribute DRIVE of Pinname: signal is “Drive Value”;
attribute PULLMODE: string;
attribute PULLMODE of Pinname: signal is “Pullmode Value”;
attribute PCICLAMP: string;
attribute PCICLAMP of Pinname: signal is “PCIClamp Value”;
attribute PULLMODE: string;
attribute PULLMODE of Pinname: signal is “Slewrate Value”;
attribute FIXEDDELAY: string;
attribute FIXEDDELAY of Pinname: signal is “Fixeddelay Value”;
attribute DIN: string; attribute DIN of Pinname: signal is “ ”;
attribute DOUT: string; attribute DOUT of Pinname: signal is “ ”;
attribute LOC: string; attribute LOC of Pinname: signal is “pin_locations”;
SIGNAL IS “PCI33”;
SIGNAL IS “LVCMOS33”;
SIGNAL IS “LVDS25”;
8-15
®
and Precision
Syntax
LatticeECP/EC and LatticeXP
®
RTL Synthesis
sysIO Usage Guide

Related parts for LFXP3E-5TN100C