AN1849 Motorola / Freescale Semiconductor, AN1849 Datasheet - Page 26

no-image

AN1849

Manufacturer Part Number
AN1849
Description
MPC107 Design Guide
Manufacturer
Motorola / Freescale Semiconductor
Datasheet
ENTITY SERINT IS
END SERINT;
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ARCHITECTURE BEHAVIOR OF SERINT IS
-- Architecture Declarations
BEGIN
26
PORT(
);
SIGNAL
CONSTANT one
counter : PROCESS( s_clk, s_frame_B )
BEGIN
END PROCESS counter;
output : PROCESS ( q, int_B )
BEGIN
s_clk
s_frame_B : IN
int_B
s_int
IF (s_frame_B = '0') THEN
ELSIF (s_clk'EVENT AND s_clk = '0') THEN
END IF;
s_int <= '1';
IF (q = '0000') THEN
ELSIF (q = '0001') THEN
ELSIF (q = '0010') THEN
ELSIF (q = '0011') THEN
ELSIF (q = '0100') THEN
ELSIF (q = '0101') THEN
ELSIF (q = '0110') THEN
ELSIF (q = '0111') THEN
q
: std_logic_vector(3 downto 0);
: std_logic_vector(3 downto 0) := "0001";
q <= (others => '0');
q <= q + one;
s_int <= int_B(0);
s_int <= int_B(1);
s_int <= int_B(2);
s_int <= int_B(3);
s_int <= int_B(4);
s_int <= int_B(5);
s_int <= int_B(6);
: IN
: IN
: OUT
MPC107 Design Guide
std_logic;
std_logic;
std_logic_vector (0 to 15);
std_logic;
-- Default Assignment

Related parts for AN1849