IPR-SRAM/QDRII Altera, IPR-SRAM/QDRII Datasheet - Page 23

no-image

IPR-SRAM/QDRII

Manufacturer Part Number
IPR-SRAM/QDRII
Description
IP CORE Renewal Of IP-SRAM/QDRII
Manufacturer
Altera
Type
MegaCorer
Datasheets

Specifications of IPR-SRAM/QDRII

Software Application
IP CORE, Memory Controllers, SDRAM
Supported Families
HardCopy II, Stratix
Features
Automatic Concatenation Of Consecutive Reads And Writes, Easy-to-Use IP Toolbench Interface
Core Architecture
FPGA
Core Sub-architecture
HardCopy, Stratix
Rohs Compliant
NA
Function
QDRII SRAM Controller
License
Renewal License
Lead Free Status / RoHS Status
na
Lead Free Status / RoHS Status
na
Altera Corporation
November 2009
altera_mf
lpm
sgate
<device name>
auk_qdrii_lib
Table 2–2. Files to Compile—VHDL IP Functional Simulation Models
Library
<QUARTUS ROOTDIR>/eda/sim_lib/altera_mf_components.vhd
<QUARTUS ROOTDIR>/eda/sim_lib/altera_mf.vhd
<QUARTUS ROOTDIR>/eda/sim_lib/220pack.vhd
<QUARTUS ROOTDIR>/eda/sim_lib/220model.vhd
<QUARTUS ROOTDIR>/eda/sim_lib/sgate_pack.vhd
<QUARTUS ROOTDIR>/eda/sim_lib/sgate.vhd
<QUARTUS ROOTDIR>/eda/sim_lib/<device name>_atoms.vhd
<QUARTUS ROOTDIR>/eda/sim_lib/<device name>_components.vhd
<project directory>/<variation name>_auk_qdrii_sram_clk_gen.vhd
<project directory>/<variation name>_auk_qdrii_sram_addr_cmd_reg.vhd
<project directory>/<variation name>_auk_qdrii_sram_cq_cqn_group.vhd
<project directory>/<variation name>_auk_qdrii_sram_read_group.vhd
<project directory>/<variation name>_auk_qdrii_sram_capture_group_wrapper.vhd
<project directory>/<variation name>_auk_qdrii_sram_resynch_reg.vhd
<project directory>/<variation name>_auk_qdrii_sram_write_group.vhd
<project directory>/<variation name>_auk_qdrii_sram_datapath.vhd
<project directory>/<variation name>_auk_qdrii_sram_test_group.vhd
<project directory>/<variation name>_auk_qdrii_sram_train_wrapper.vhd
<project directory>/<variation name>_auk_qdrii_sram_pipeline_wdata.vhd
<project directory>/<variation name>_auk_qdrii_sram_pipeline_rdata.vhd
<project directory>/<variation name>_auk_qdrii_sram_pipeline_addr_cmd.vhd
<project directory>/<variation name>_auk_qdrii_sram_pipe_resynch_wrapper.vhd
<project directory>/<variation
name>_auk_qdrii_sram_avalon_controller_ipfs_wrap.vho
<project directory>/<variation name>_auk_qdrii_sram.vhd
<project directory>/<variation name>.vhd
<project directory>/qdrii_pll_stratixii.vhd
<project directory>/<variation name>_auk_qdrii_sram_dll.vhd
<project directory>/<variation name>_auk_qdrii_sram_example_driver.vhd
<project directory>/<project name>.vhd
<project directory>/testbench/<project name>_tb.vhd
3.
Compile the files in
are in VHDL93 format.
MegaCore Version 9.1
QDRII SRAM Controller MegaCore Function User Guide
Table 2–2
Filename
into the appropriate library. The files
Getting Started
2–13

Related parts for IPR-SRAM/QDRII