AN1769 Freescale Semiconductor / Motorola, AN1769 Datasheet - Page 22

no-image

AN1769

Manufacturer Part Number
AN1769
Description
Designing a Minimal PowerPC System
Manufacturer
Freescale Semiconductor / Motorola
Datasheet
22
END PROCESS;
PROCESS (BEAT1,BEAT2,BEAT3,BEAT4,BURST,CLAIM_L,CLOCK,COUNT,CTIME0,CTIME1,
BEGIN
END IF;
CTIME2,CTIME3,DESEL,DOERR_L,ERROR,IDLE,SCS_L,SINGLE,TBST_L,TIMER0,TIMER1,
TIMER2,TIMER3,WE_L,TIMER)
IF (( (BURST='1'))) THEN next_BEAT1<='1';
ELSE next_BEAT1<='0';
END IF;
IF (( WE_L='1' AND (BEAT1='1'))) THEN next_BEAT2<='1';
ELSE next_BEAT2<='0';
END IF;
IF (( (BEAT2='1'))) THEN next_BEAT3<='1';
ELSE next_BEAT3<='0';
END IF;
IF (( WE_L='0' AND (BEAT1='1')) OR ( (BEAT3='1')) OR ( TIMER0='0' AND
ELSE next_BEAT4<='0';
END IF;
IF (( DOERR_L='1' AND TBST_L='0' AND CLAIM_L='0' AND SCS_L='0' AND
ELSE next_BURST<='0';
END IF;
IF (( TIMER0='1' AND (CLOCK='1')) OR ( TIMER1='1' AND (CLOCK='1')) OR (
ELSE next_CLOCK<='0';
END IF;
IF (( DOERR_L='1' AND SCS_L='1' AND CLAIM_L='0' AND TBST_L='1' AND
ELSE next_COUNT<='0';
END IF;
IF (( (BEAT4='1'))) THEN next_DESEL<='1';
ELSE next_DESEL<='0';
END IF;
IF (( DOERR_L='0' AND (IDLE='1'))) THEN next_ERROR<='1';
ELSE next_ERROR<='0';
END IF;
IF (( (DESEL='1')) OR ( (ERROR='1')) OR ( DOERR_L='1' AND SCS_L='1' AND
ELSE next_IDLE<='0';
END IF;
IF (( DOERR_L='1' AND CLAIM_L='0' AND SCS_L='0' AND TBST_L='1' AND
ELSE next_SINGLE<='0';
END IF;
TIMER<= (( ( BEAT1& BEAT1& BEAT1& BEAT1)) AND (( ( WE_L& WE_L& WE_L&
BEAT2 <= next_BEAT2;
BEAT3 <= next_BEAT3;
BEAT4 <= next_BEAT4;
BURST <= next_BURST;
CLOCK <= next_CLOCK;
COUNT <= next_COUNT;
DESEL <= next_DESEL;
ERROR <= next_ERROR;
IDLE <= next_IDLE;
SINGLE <= next_SINGLE;
TIMER3 <= next_TIMER3;
TIMER2 <= next_TIMER2;
TIMER1 <= next_TIMER1;
TIMER0 <= next_TIMER0;
TIMER1='0' AND TIMER2='0' AND TIMER3='0' AND (CLOCK='1')) OR ( (SINGLE='1')
)) THEN next_BEAT4<='1';
(IDLE='1'))) THEN next_BURST<='1';
TIMER2='1' AND (CLOCK='1')) OR ( TIMER3='1' AND (CLOCK='1')) OR (
(COUNT='1'))) THEN next_CLOCK<='1';
(IDLE='1'))) THEN next_COUNT<='1';
TBST_L='0' AND (IDLE='1')) OR ( DOERR_L='1' AND CLAIM_L='1' AND (IDLE='1'))
) THEN next_IDLE<='1';
(IDLE='1'))) THEN next_SINGLE<='1';
WE_L)) ) AND ( ("0000") ) ) OR (( ( BEAT1& BEAT1& BEAT1& BEAT1)) AND ((
( NOT WE_L& NOT WE_L& NOT WE_L& NOT WE_L)) ) AND ( ("0000") ) ) OR (( (
BEAT2& BEAT2& BEAT2& BEAT2)) AND ( ("1111") ) AND ( ("0000") ) ) OR (( (
BEAT3& BEAT3& BEAT3& BEAT3)) AND ( ("1111") ) AND ( ("0000") ) ) OR (( (
BEAT4& BEAT4& BEAT4& BEAT4)) AND ( ("1111") ) AND ( ("0000") ) ) OR (( (
BURST& BURST& BURST& BURST)) AND ( ("1111") ) AND ( ("0000") ) ) OR (( (
Freescale Semiconductor, Inc.
For More Information On This Product,
Minimal PowerPC System Design
Go to: www.freescale.com
MOTOROLA

Related parts for AN1769