HC230 ALTERA [Altera Corporation], HC230 Datasheet - Page 145

no-image

HC230

Manufacturer Part Number
HC230
Description
HardCopy II Device Family
Manufacturer
ALTERA [Altera Corporation]
Datasheet

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
HC230F1020
Manufacturer:
ALTERA
0
Part Number:
HC230F1020AJ
Manufacturer:
ALTERA
0
Part Number:
HC230F1020ANQ
Manufacturer:
Discera
Quantity:
2 000
Part Number:
HC230F1020AW
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BA
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BL
Manufacturer:
ALTERA
0
Making I/O
Assignments
Altera Corporation
September 2008
f
Because of the complex rules governing the use of programmable I/O
cells and their availability for specific pins and packages, Altera highly
recommends that I/O assignments are completed using the Pin Planning
tool and the Assignment Editor in the Quartus II GUI. These tools ensure
that all of the rules regarding each pin and I/O cell are applied correctly.
The Quartus II GUI can export a Tcl script containing all I/O assignments
and specifications. I/O assignments are described here for information
only.
For more information on I/O location and type assignments using the
Quartus II Assignment Editor and Pin Planner tools, refer to the
Assignment Editor chapter in volume 2 of the Quartus II Handbook.
In this section, I/O specification is considered in two parts:
Pin Assignments
Design I/O signals are assigned to package balls using the
set_location_assignment command. The syntax for this command is
given below:
tcl> set_location_assignment [-comment <comment>] \
Here, <destination> is the package ball name and <value> is the design I/O
signal name. For BGA and FBGA packages, the ball name follows the
form PIN_<coordinate>. For example, to assign design I/O signal
data_out[15] to package ball AL17:
tcl> set_location_assignment -to PIN_AL17 data_out[15]
Setting I/O Type and Parameters
For I/O type and parameter specification, the set_instance_assignment
command is used. The syntax for this command is:
tcl> set_instance_assignment [-comment <comment>] \
Pin assignments
I/O type assignments
[-disable] [-remove] -to <destination> <value>
[-disable] [-entity <entity_name>] \
[-from <source>] -name <name> [-remove] \
[-section_id <section_id>] \
[-to <destination>] <value>
Making Global Assignments
6–17

Related parts for HC230