HC230 ALTERA [Altera Corporation], HC230 Datasheet - Page 185

no-image

HC230

Manufacturer Part Number
HC230
Description
HardCopy II Device Family
Manufacturer
ALTERA [Altera Corporation]
Datasheet

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
HC230F1020
Manufacturer:
ALTERA
0
Part Number:
HC230F1020AJ
Manufacturer:
ALTERA
0
Part Number:
HC230F1020ANQ
Manufacturer:
Discera
Quantity:
2 000
Part Number:
HC230F1020AW
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BA
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BL
Manufacturer:
ALTERA
0
Unsupported
HardCopy II
Timing
Constraints for
Classic Timing
Analyzer
Altera Corporation
September 2008
The Quartus II software supports a wide variety of complex timing
constraints. When using Classic Timing Analyzer for HardCopy II
design, however, some of these constraints are not translated to SDC
format constraints when the design is transferred to the HCDC. The
unsupported timing constraints for HardCopy II are listed below:
If these constraints are used, you can still perform timing analysis in the
Quartus II software and produce the correct results. However, when a
HardCopy II archive for handoff is created, they will be ignored. The
translation of Quartus II timing constraints to SDC constraints simply
drops unsupported constraints; they do not feed forward to the HCDC.
Any unsupported constraints in a design are listed under the
Incompatible Assignments section in the HardCopy II Advisor (see
Figure
While it is possible to translate unsupported constraints to constraints
that are supported, the process is difficult and error-prone, often
requiring detailed analysis of the particular context in which the
constraint is used.
For this reason, Altera recommends that you use timing constraints in the
industry-standard SDC format with the TimeQuest timing analyzer or
use only supported timing constraints for Classic Timing Analyzer from
the start of your HardCopy II project. This approach avoids any
translation or constraint coverage issues that may occur later in a project
and the inevitable delay and risk that results.
In some cases, a HardCopy II project in the Quartus II software may
already be using the unsupported constraints, and you may choose either
to translate the existing, unsupported constraints, or replace them with a
new set of constraints that use only the recommended HardCopy II
timing assignments. In many cases, you may find it easier to rebuild the
constraints rather than translate existing constraints. This is because of
the ambiguous nature of many unsupported timing constraints, which
often require additional information outside of the Quartus II software
before the translation can be properly resolved. Verifying that the
translations produce the same timing constraint coverage and the same
timing analysis results can also be a time-consuming and error-prone
exercise.
Unsupported HardCopy II Timing Constraints for Classic Timing Analyzer
Clock enable multicycle paths
Inverted clocks
TSU, Th, TCO, and Min T
Internal T
Virtual clocks
Maximum clock and data skew
Maximum and minimum delay
7–5).
PD
CO
7–21

Related parts for HC230