HC230 ALTERA [Altera Corporation], HC230 Datasheet - Page 187

no-image

HC230

Manufacturer Part Number
HC230
Description
HardCopy II Device Family
Manufacturer
ALTERA [Altera Corporation]
Datasheet

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
HC230F1020
Manufacturer:
ALTERA
0
Part Number:
HC230F1020AJ
Manufacturer:
ALTERA
0
Part Number:
HC230F1020ANQ
Manufacturer:
Discera
Quantity:
2 000
Part Number:
HC230F1020AW
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BA
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BL
Manufacturer:
ALTERA
0
Document
Revision History
Altera Corporation
September 2008
Date and Document
September 2008,
v2.2
June 2007, v2.1
December 2006
v2.0
March 2006, v1.0
Table 7–2. Document Revision History
Version
Updated chapter number and metadata.
Minor text edits.
Major updates for the Quartus II software version 6.1.0
Added document to the HardCopy Series Handbook.
Added information on TimeQuest timing analyzer, newly
available in Quartus II software version 6.1.0, and
recommended for use in HardCopy II design timing
analysis.
Added “Using the TimeQuest Timing Analyzer” section.
Brought in “Constraining Timing of HardCopy Series
Devices” section, previously in Chapter 22.
Updated “HardCopy II Timing Closure Methodology”
section.
Added revision history.
Table 7–2
shows the revision history for this chapter.
Changes Made
Document Revision History
chapter, due to changes in
Methodology” section, and
especially the inclusion of
the addition of the “Using
were in the “HardCopy II
analyzer; most changes
“Constraining Timing of
the Quartus II software
the TimeQuest Timing
Summary of Changes
A major update to the
the TimeQuest timing
version 6.1 release,
Devices”sections.
HardCopy Series
Timing Closure
Analyzer” and
7–23

Related parts for HC230