HC230F1020 Altera, HC230F1020 Datasheet - Page 186

no-image

HC230F1020

Manufacturer Part Number
HC230F1020
Description
Manufacturer
Altera
Datasheet

Specifications of HC230F1020

Lead Free Status / RoHS Status
Not Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
HC230F1020
Manufacturer:
ALTERA
0
Part Number:
HC230F1020AJ
Manufacturer:
ALTERA
0
Part Number:
HC230F1020ANQ
Manufacturer:
Discera
Quantity:
2 000
Part Number:
HC230F1020AW
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BA
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BL
Manufacturer:
ALTERA
0
HardCopy Series Handbook, Volume 1
Conclusion
7–22
Note to
(1)
(2)
(3)
(4)
(5)
Table 7–1. TSU, TH, TCO, and Minimum T
TSU Req
TCO Req
Th Req
Req
Min
T
TSU = value used in the TSU requirement assignment.
TCO = value used in the TCO requirement assignment.
Th = value used in the Th requirement assignment.
Min T
TCK = period of the clock for registers associated with the TSU and TCO requirements.
CO
Table
CO
= value used in Min T
7–1:
setup_relationship
TCO
TSU
If you do wish to translate existing, unsupported timing constraints to
recommended constraints, use
values used in TCO, Th, TSU, and Min T
to values used in recommended HardCopy II assignments. In the table,
unsupported constraints are listed in the left hand column.
Recommended constraints are listed along the top row. To use the table,
cross-reference the unsupported constraints you wish to translate against
a recommended constraint. The cross reference cell contains the
conversion of the original, unsupported constraint value that should be
used with the new, recommended constraint. It is very important to note
that these translations are not valid in every design scenario.
This chapter described timing considerations and Quartus II timing
constraint recommendations for HardCopy II projects. By understanding
these considerations and following the recommendations in your design,
you ensure a smooth transition through the Quartus II software and
subsequent transfer to the Altera HardCopy Design Center for the
back-end design of your structured ASIC. Following the
recommendations in this chapter will help ensure success in your
HardCopy II project.
CO
requirement assignment.
-max <TCK-TSU>
set_input_delay
CO
-min Th
Timing Constraint Conversion
Table 7–1
hold_relationship
Min T
CO
-Th
as a rough guide. It shows how
assignments normally convert
Notes
CO
(1), (2), (3), (4),
Altera Corporation
-max <TCK-TCO>
set_output_delay
-min <- Min T
September 2008
(5)
CO
>

Related parts for HC230F1020