EP4CE6E22C8N Altera, EP4CE6E22C8N Datasheet - Page 295

no-image

EP4CE6E22C8N

Manufacturer Part Number
EP4CE6E22C8N
Description
IC CYCLONE IV FPGA 6K 144EQFP
Manufacturer
Altera
Series
CYCLONE® IV Er

Specifications of EP4CE6E22C8N

Number Of Logic Elements/cells
6272
Number Of Labs/clbs
392
Total Ram Bits
270000
Number Of I /o
91
Voltage - Supply
1.15 V ~ 1.25 V
Mounting Type
Surface Mount
Operating Temperature
0°C ~ 85°C
Package / Case
144-EQFP
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Number Of Gates
-
Lead Free Status / Rohs Status
Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
EP4CE6E22C8N
Manufacturer:
AD
Quantity:
1 200
Part Number:
EP4CE6E22C8N
Manufacturer:
ALTERA
Quantity:
648
Part Number:
EP4CE6E22C8N
Manufacturer:
Altera
Quantity:
10 000
Part Number:
EP4CE6E22C8N
Manufacturer:
ALTERA
0
Part Number:
EP4CE6E22C8N
Manufacturer:
ALTERA
Quantity:
20 000
Part Number:
EP4CE6E22C8N
0
Part Number:
EP4CE6E22C8N COREEP4CE6
Manufacturer:
ST
0
Part Number:
EP4CE6E22C8N36
Manufacturer:
ALTERA
0
Part Number:
EP4CE6E22C8N@@@
Manufacturer:
ALTERA
0
Chapter 1: Cyclone IV Transceivers Architecture
Receiver Channel Datapath
Word Aligner
© December 2010 Altera Corporation
Figure 1–16
data from the deserializer and restores the word boundary based on a pre-defined
alignment pattern that must be received during link synchronization. The word
aligner supports three operational modes as listed in
Figure 1–16. Word Aligner Block Diagram
Table 1–3. Word Aligner Modes
Manual Alignment Mode
In manual alignment mode, the rx_enapatternalign port controls the word
aligner with either an 8- or 10-bit data width setting.
The 8-bit word aligner is edge-sensitive to the rx_enapatternalign signal. A
rising edge on rx_enapatternalign signal after deassertion of the
rx_digitalreset signal triggers the word aligner to look for the word alignment
pattern in the received data stream. It updates the word boundary if it finds the word
alignment pattern in a new word boundary. Any word alignment pattern received
thereafter in a different word boundary causes the word aligner to re-align to the new
word boundary only if there is a rising edge in the rx_enapatternalign signal.
The 10-bit word aligner is level-sensitive to the rx_enapatternalign signal. The
word aligner looks for the programmed 7-bit or 10-bit word alignment pattern in the
received data stream, if the rx_enapatternalign signal is held high. It updates the
word boundary if it finds the word alignment pattern in a new word boundary. If the
rx_enapatternalign signal is deasserted, the word aligner maintains the current
word boundary even when it receives the word alignment pattern in a new word
boundary.
Manual Alignment
Bit-Slip
Automatic Synchronization State
Machine
rx_enapatternalign
rx_revbitorderwa
rx_invpolarity
deserializer
data from
rx_bitslip
shows the word aligner block diagram. The word aligner receives parallel
Modes
Word Aligner
Receiver
Inversion
Polarity
PMA-PCS Interface Widths
Synchronization
State Machine
Run Length
Alignment
Violation
Circuitry
Manual
Bit-Slip
10-bit
10-bit
10-bit
8-bit
8-bit
Table
Receiver
Reversal
Bit
Cyclone IV Device Handbook, Volume 2
1–3.
Allowed Word Alignment
Pattern Lengths
7 or 10 bits
7 or 10 bits
7 or 10 bits
16 bits
16 bits
rx_bitslipboundaryselectout
rx_rlv
rx_syncstatus
rx_patterndetect
parallel data to
next PCS block
1–15

Related parts for EP4CE6E22C8N