IPR-FIR Altera, IPR-FIR Datasheet - Page 55

IP CORE Renewal Of IP-FIR

IPR-FIR

Manufacturer Part Number
IPR-FIR
Description
IP CORE Renewal Of IP-FIR
Manufacturer
Altera
Type
MegaCorer
Datasheets

Specifications of IPR-FIR

Software Application
IP CORE, DSP Filters And Transforms
Supported Families
Arria GX, Arria II GX, Cyclone, HardCopy, Stratix
Core Architecture
FPGA
Core Sub-architecture
Arria, Cyclone, Stratix
Rohs Compliant
NA
Function
Finite Impulse Response Compiler
License
Renewal License
Lead Free Status / RoHS Status
na
Lead Free Status / RoHS Status
na
Chapter 4: Functional Description
Simulation Output
Simulation Output
Avalon Streaming Interface
© December 2010 Altera Corporation
1
1
The FIR Compiler generates a number of output files for design simulation. After you
have created a custom FIR filter, you can use the output files with MATLAB or VHDL
simulation tools. You can use the test vectors and MATLAB software to simulate your
design.
IP functional simulation models will output correct data only when data storage is
clear. When data storage is not clear, functional simulation models will output non-
relevant data. The number of clock cycles it takes before relevant samples are
available is N; where N = (number of channels) × (number of coefficients) × (number
of clock cycles to calculate an output).
For a full list of files generated by the FIR Compiler, refer to
The Avalon
modular protocol for data transfers from a source interface to a sink interface and
simplifies the process of controlling the flow of data in a datapath.
Avalon-ST interface signals can describe traditional streaming interfaces supporting a
single stream of data without knowledge of channels or packet boundaries.
Such interfaces typically contain data, ready, and valid signals. The Avalon-ST
interface can also support more complex protocols for burst and packet transfers with
packets interleaved across multiple channels.
The Avalon-ST interface inherently synchronizes multi-channel designs, which allows
you to achieve efficient, time-multiplexed implementations without having to
implement complex control logic.
The Avalon-ST interface supports backpressure, which is a flow control mechanism
where a sink can signal to a source to stop sending data. The sink typically uses
backpressure to stop the flow of data when its FIFO buffers are full or when there is
congestion on its output.
When designing a datapath which includes the FIR Compiler MegaCore function, you
may not need backpressure if you know the downstream components can always
receive data. You may achieve a higher clock rate by driving the ast_source_ready
signal of the FIR Compiler high, and not connecting the ast_sink_ready signal.
The coefficient reload related ports and coefficient set selection ports in multi-set
filters are not Avalon Streaming compliant.
The Avalon Interface Specifications define parameters which can be used to specify any
type of Avalon-ST interface.
parameters that are defined for the Avalon-ST interfaces used by the FIR Compiler.
All parameters not explicitly listed in the table have undefined values.
®
Streaming (Avalon-ST) interface defines a standard, flexible, and
Table 4–1 on page 4–14
lists the values of these
Table 2–1 on page 2–6
FIR Compiler User Guide
4–13
.

Related parts for IPR-FIR