ep1s25 Altera Corporation, ep1s25 Datasheet - Page 26

no-image

ep1s25

Manufacturer Part Number
ep1s25
Description
Stratix Device Family Data Sheet
Manufacturer
Altera Corporation
Datasheet

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
ep1s25B672
Manufacturer:
ALTERA
0
Part Number:
ep1s25B672C6
Manufacturer:
Altera
Quantity:
10 000
Part Number:
ep1s25B672C6
Manufacturer:
ALTERA
0
Part Number:
ep1s25B672C6
0
Part Number:
ep1s25B672C6ES
Manufacturer:
ALTERA
0
Part Number:
ep1s25B672C6N
Manufacturer:
AD
Quantity:
1 001
Part Number:
ep1s25B672C6N
Manufacturer:
ALTERA
Quantity:
210
Part Number:
ep1s25B672C6N
Manufacturer:
Altera
Quantity:
10 000
Part Number:
ep1s25B672C6N
0
Part Number:
ep1s25B672C7
Manufacturer:
ALTERA
Quantity:
852
Part Number:
ep1s25B672C7
Manufacturer:
ALTERA
Quantity:
3
Part Number:
ep1s25B672C7
0
Logic Elements
2–12
Stratix Device Handbook, Volume 1
Figure 2–8
adder. One portion of the LUT generates the sum of two bits using the
input signals and the appropriate carry-in bit; the sum is routed to the
output of the LE. The register can be bypassed for simple adders or used
for accumulator functions. Another portion of the LUT generates carry-
out bits. An LAB-wide carry in bit selects which chain is used for the
addition of given inputs. The carry-in signal for each chain, carry-in0
or carry-in1, selects the carry-out to carry forward to the carry-in
signal of the next-higher-order bit. The final carry-out signal is routed to
an LE, where it is fed to local, row, or column interconnects.
The Quartus II Compiler automatically creates carry chain logic during
design processing, or you can create it manually during design entry.
Parameterized functions such as LPM functions automatically take
advantage of carry chains for the appropriate functions.
The Quartus II Compiler creates carry chains longer than 10 LEs by
linking LABs together automatically. For enhanced fitting, a long carry
chain runs vertically allowing fast horizontal connections to TriMatrix
memory and DSP blocks. A carry chain can continue as far as a full
column.
shows the carry-select circuitry in an LAB for a 10-bit full
Altera Corporation
July 2005

Related parts for ep1s25