SW-QUARTUS-SE-FIX Altera, SW-QUARTUS-SE-FIX Datasheet - Page 118

QUARTUS II ANNUAL SUBSCRIPTION

SW-QUARTUS-SE-FIX

Manufacturer Part Number
SW-QUARTUS-SE-FIX
Description
QUARTUS II ANNUAL SUBSCRIPTION
Manufacturer
Altera
Type
Design Softwarer
Series
QUARTUS IIr

Specifications of SW-QUARTUS-SE-FIX

Mfg Application Notes
Software Licensing App Note
Core Architecture
CPLD, FPGA
Supported Families
Quartus II, Nios II
Software Edition
Standard
License Type
Fixed - Node
Supported Hosts
Windows
Rohs Compliant
NA
For Use With/related Products
Altera Devices
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Other names
544-1247
FIXEDPC

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
SW-QUARTUS-SE-FIX
Manufacturer:
Altera
Quantity:
135
EDA Simulation Tools
A
LTERA
f
C
ORPORATION
Altera provides libraries for use with many EDA synthesis tools. Altera also
provides NativeLink support for many tools. NativeLink technology
facilitates the seamless transfer of information between the Quartus II
software and other EDA tools and allows you to run EDA tools
automatically from within the Quartus II graphical user interface.
If you have created assignments or constraints using other EDA tools, you
can use Tcl commands or scripts to import those constraints into the
Quartus II software with your design files. Many EDA tools generate an
assignment Tcl script automatically.
You can perform functional and timing simulation of your design by using
EDA simulation tools. The Quartus II software provides the following
features for performing simulation of designs in EDA simulation tools:
Figure 2
For Information About
Using Synopsys Synplify software
Using Mentor Graphics
LeonardoSpectrum software
Using Mentor Graphics Precision RTL
Synthesis software
NativeLink integration with EDA simulation tools
Generation of output netlist files
Functional and timing simulation libraries
Generation of test bench template and Memory Initialization Files
(.mif)
Generation of Signal Activity Files (.saf) for power analysis
shows the simulation flow with EDA simulation tools.
I
NTRODUCTION TO THE
Refer To
Synopsys Synplify Support chapter in
volume 1 of the Quartus II Handbook
Mentor Graphics LeonardoSpectrum
Support chapter in volume 1 of the
Quartus II Handbook
Mentor Graphics Precision Synthesis
Support chapter in volume 1 of the
Quartus II Handbook
Q
C
HAPTER
UARTUS
8: EDA T
II S
EDA S
OFTWARE
IMULATION
OOL
S
UPPORT
T
OOLS
109

Related parts for SW-QUARTUS-SE-FIX