SW-QUARTUS-SE-FIX Altera, SW-QUARTUS-SE-FIX Datasheet - Page 22

QUARTUS II ANNUAL SUBSCRIPTION

SW-QUARTUS-SE-FIX

Manufacturer Part Number
SW-QUARTUS-SE-FIX
Description
QUARTUS II ANNUAL SUBSCRIPTION
Manufacturer
Altera
Type
Design Softwarer
Series
QUARTUS IIr

Specifications of SW-QUARTUS-SE-FIX

Mfg Application Notes
Software Licensing App Note
Core Architecture
CPLD, FPGA
Supported Families
Quartus II, Nios II
Software Edition
Standard
License Type
Fixed - Node
Supported Hosts
Windows
Rohs Compliant
NA
For Use With/related Products
Altera Devices
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Other names
544-1247
FIXEDPC

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
SW-QUARTUS-SE-FIX
Manufacturer:
Altera
Quantity:
135
Figure 7. Example of a Tcl Script
## This script works with the quartus_sh executable
# Set the project name to filtref
set project_name filtref
# Open the Project. If it does not already exist, create it
if [catch {project_open $project_name}] {project_new \ $project_name}
# Set Family
set_global_assignment -name family CYCLONE
# Set Device
set_global_assignment -name device ep1c6f256c6
# Optimize for speed
set_global_assignment -name optimization_technique speed
# Turn-on Fastfit fitter option to reduce compile times
set_global_assignment -name fast_fit_compilation on
# Generate a NC-Sim Verilog simulation Netlist
set_global_assignment -name eda_simulation_tool "NcSim\
(Verilog HDL output from Quartus II)"
# Using the ::quartus::flow package, the execute_flow command
# exports assignments automatically
load_package flow
execute_flow -compile
# Close Project
project_close
A
LTERA
f
C
ORPORATION
Figure 7
For Information About
Tcl Scripting
shows an example of a Tcl script.
I
NTRODUCTION TO THE
Refer To
The Tcl Scripting chapter in volume 2 of the
Quartus II Handbook
“About Quartus II Scripting” in Quartus II
Help
Q
UARTUS
C
C
OMMAND
HAPTER
II S
OFTWARE
-L
1: D
INE
ESIGN
E
XECUTABLES
F
LOW
13

Related parts for SW-QUARTUS-SE-FIX