EP4SE530H40I3 Altera, EP4SE530H40I3 Datasheet - Page 51

no-image

EP4SE530H40I3

Manufacturer Part Number
EP4SE530H40I3
Description
IC STRATIX IV FPGA 530K 1517HBGA
Manufacturer
Altera
Series
STRATIX® IV Er

Specifications of EP4SE530H40I3

Number Of Logic Elements/cells
531200
Number Of Labs/clbs
21248
Total Ram Bits
27376
Number Of I /o
976
Voltage - Supply
0.87 V ~ 0.93 V
Mounting Type
Surface Mount
Operating Temperature
-40°C ~ 100°C
Package / Case
1517-HBGA
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Number Of Gates
-

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
EP4SE530H40I3
Manufacturer:
ALTERA
Quantity:
325
Part Number:
EP4SE530H40I3
Manufacturer:
Altera
Quantity:
10 000
Part Number:
EP4SE530H40I3
Manufacturer:
ALTERA
0
Part Number:
EP4SE530H40I3N
Manufacturer:
SHARP
Quantity:
1 200
Part Number:
EP4SE530H40I3N
Manufacturer:
ALTERA
Quantity:
490
Part Number:
EP4SE530H40I3N
Manufacturer:
Altera
Quantity:
10 000
Part Number:
EP4SE530H40I3N
Manufacturer:
ALTERA
0
Part Number:
EP4SE530H40I3N
Manufacturer:
ALTERA/阿尔特拉
Quantity:
20 000
Part Number:
EP4SE530H40I3N
0
Chapter 2: Logic Array Blocks and Adaptive Logic Modules in Stratix IV Devices
Adaptive Logic Modules
Figure 2–12. LUT Register from Two Combinational Blocks
February 2011 Altera Corporation
datain(datac)
aclr
sclr
clk
Similar to the carry chains, the top and bottom halves of shared arithmetic chains in
alternate LAB columns can be bypassed. This capability allows the shared arithmetic
chain to cascade through half of the ALMs in an LAB while leaving the other half
available for narrower fan-in functionality. Every other LAB column is top-half
by-passable, while the other LAB columns are bottom-half by-passable.
For more information about the shared arithmetic chain interconnect, refer to
Interconnects” on page
LUT-Register Mode
LUT-register mode allows third-register capability within an ALM. Two internal
feedback loops allow combinational ALUT1 to implement the master latch and
combinational ALUT0 to implement the slave latch needed for the third register. The
LUT register shares its clock, clock enable, and asynchronous clear sources with the
top dedicated register.
combinational blocks within the ALM.
Figure 2–12
2–18.
shows the register constructed using two
4-input
5-input
LUT
LUT
combout
combout
sumout
sumout
Stratix IV Device Handbook Volume 1
LUT regout
“ALM
2–15

Related parts for EP4SE530H40I3