DS-FND-BSX-PC Xilinx Inc, DS-FND-BSX-PC Datasheet - Page 125

no-image

DS-FND-BSX-PC

Manufacturer Part Number
DS-FND-BSX-PC
Description
FOUNDATION BASE SYS W/SYN EXPRES
Manufacturer
Xilinx Inc
Type
Foundation Systemr
Datasheet

Specifications of DS-FND-BSX-PC

For Use With/related Products
Xilinx Programmable Logic Devices
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Other names
122-1185
Foundation Series 2.1i User Guide
begin
--concurrent signal assignments
--diagram ACTIONS
process (clk)
begin
if clk’event and clk = ’1’ then
end if;
end process;
-- signal assignment statements for combinatorial
-- outputs
out_c <= ’0’ when (Sreg0 = S2) else
out_a <= ’1’ when (Sreg0 = S2) else
out_b <= ’0’ when (Sreg0 = S2) else
end my_fsm_arch;
if reset=’1’ then
else
case Sreg0 is
end case;
end if;
Sreg0 <= S1;
when S1 =>
when S2 =>
when S3 =>
when others =>
if in_a = ’1’ then
end if;
if in_b = ’1’ then
end if;
if in_c = ’1’ then
end if;
null;
Sreg0 <= S2;
Sreg0 <= S3;
Sreg0 <= S1;
’0’ when (Sreg0 = S3) else
’1’;
’0’ when (Sreg0 = S3) else
’0’;
’1’ when (Sreg0 = S3) else
’0’;
Design Methodologies - HDL Flow
5-23

Related parts for DS-FND-BSX-PC