DS-FND-BSX-PC Xilinx Inc, DS-FND-BSX-PC Datasheet - Page 310

no-image

DS-FND-BSX-PC

Manufacturer Part Number
DS-FND-BSX-PC
Description
FOUNDATION BASE SYS W/SYN EXPRES
Manufacturer
Xilinx Inc
Type
Foundation Systemr
Datasheet

Specifications of DS-FND-BSX-PC

For Use With/related Products
Xilinx Programmable Logic Devices
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Other names
122-1185
Foundation Series 2.1i User Guide
B-38
test.ucf
begin
end details;
INST “U0_U0” TNM = usermem;
TIMESPEC TS_6= FROM : FFS :TO: usermem: 50;
INST “U0_U0/mem0_0” LOC=CLB_R7C2;
U0: memory port map(A=>MADDR,DO=>MDATAOUT,
DI=>MDATA,WR_EN=>WE,WR_CLK=>C);
Xilinx Development System

Related parts for DS-FND-BSX-PC