DS-FND-BSX-PC Xilinx Inc, DS-FND-BSX-PC Datasheet - Page 143

no-image

DS-FND-BSX-PC

Manufacturer Part Number
DS-FND-BSX-PC
Description
FOUNDATION BASE SYS W/SYN EXPRES
Manufacturer
Xilinx Inc
Type
Foundation Systemr
Datasheet

Specifications of DS-FND-BSX-PC

For Use With/related Products
Xilinx Programmable Logic Devices
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Other names
122-1185
Foundation Series 2.1i User Guide
c_has_di => 1,
c_en_polarity => 1,
c_has_we => 1,
c_has_rst => 1,
c_address_width => 4,
c_read_mif => 0,
c_depth => 16,
c_pipe_stages => 0,
c_mem_init_radix => 16,
c_default_data => "0",
c_mem_init_file => "sram.mif",
c_we_polarity => 1,
c_generate_mif => 0);
end for;
-- synopsys translate_on
-- CONF_TAG_END ------ End CONFIGURATION snippet ------------
-------------------------------------------------------------
-- Example of configuration declaration...
-------------------------------------------------------------
--
-- <Insert LIBRARY Declaration here>
--
-- configuration <cfg_my_design> of <my_design> is
--
--
--
-- end <cfg_my_design>;
--
-- If this is not the top-level design then in the next level up, the
following text
-- should appear at the end of that file:
--
-- configuration <cfg> of <next_level> is
--
--
--
--
-- end <cfg>;
--
for <my_arch_name>
end for;
for <arch_name>
end for;
<Insert CONFIGURATION Declaration here>
for all : <my_design> use configuration <cfg_my_design>;
end for;
8.
Select File
Exit to close the CORE Generator.
Design Methodologies - HDL Flow
5-41

Related parts for DS-FND-BSX-PC