DS-FND-BSX-PC Xilinx Inc, DS-FND-BSX-PC Datasheet - Page 175

no-image

DS-FND-BSX-PC

Manufacturer Part Number
DS-FND-BSX-PC
Description
FOUNDATION BASE SYS W/SYN EXPRES
Manufacturer
Xilinx Inc
Type
Foundation Systemr
Datasheet

Specifications of DS-FND-BSX-PC

For Use With/related Products
Xilinx Programmable Logic Devices
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Other names
122-1185
HDL Design Entry and Synthesis
Figure 6-8 Verilog File with Instantiated LogiBLOX Module
When the LogiBLOX module is created, a .vei file is created, which is
used as an instantiation reference.
Figure 6-9 VEI File Created by LogiBLOX
Foundation Series 2.1i User Guide
6-19

Related parts for DS-FND-BSX-PC