DS-FND-BSX-PC Xilinx Inc, DS-FND-BSX-PC Datasheet - Page 309

no-image

DS-FND-BSX-PC

Manufacturer Part Number
DS-FND-BSX-PC
Description
FOUNDATION BASE SYS W/SYN EXPRES
Manufacturer
Xilinx Inc
Type
Foundation Systemr
Datasheet

Specifications of DS-FND-BSX-PC

For Use With/related Products
Xilinx Programmable Logic Devices
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Other names
122-1185
Foundation Series 2.1i User Guide
begin
map(MDATA=>datareg.,MDATAOUT=>dataoutreg.,MADDR=>addrreg,C=>C,WE=>ENB);
end details;
end component;
C,WE: in STD_LOGIC);
U0: inside port
process( C )
process( C )
process( C )
begin
end process;
begin
end process;
begin
end process;
inside.vhd
if(Cevent and C=1) then
end if;
if(Cevent and C=1) then
end if;
if(Cevent and C=1) then
end if;
entity inside is
architecture details of inside is component memory
datareg <= DATA;
DATAOUT <= dataoutreg;
addrreg <= ADDR;
port(
end inside;
port(
end component;
MDATA: in STD_LOGIC_VECTOR(1 downto 0);
MDATAOUT: out STD_LOGIC_VECTOR(1 downto 0);
MADDR: in STD_LOGIC_VECTOR(4 downto 0);
C,WE: in STD_LOGIC);
A: in STD_LOGIC_VECTOR(4 downto 0);
DO: out STD_LOGIC_VECTOR(1 downto 0);
DI: in STD_LOGIC_VECTOR(1 downto 0);
WR_EN,WR_CLK: in STD_LOGIC);
Foundation Constraints
B-37

Related parts for DS-FND-BSX-PC